Add instruction memory

This commit is contained in:
Victor Timofei 2022-01-10 21:19:32 +02:00
parent b3412a9706
commit d5bb6da13c
Signed by: vtimofei
GPG Key ID: B790DCEBE281403A
1 changed files with 2 additions and 0 deletions

View File

@ -49,6 +49,8 @@
$next_pc[31:0] = $reset ? 0 : ($pc + 4); $next_pc[31:0] = $reset ? 0 : ($pc + 4);
$pc[31:0] = >>1$next_pc; $pc[31:0] = >>1$next_pc;
`READONLY_MEM($pc, $$instr[31:0]);
// Assert these to end simulation (before Makerchip cycle limit). // Assert these to end simulation (before Makerchip cycle limit).